modelo prototipo
Objetivos da aula - Simulação nível de ciclo - Simulação com o ModelSim - Prototipação com o novo programa
1. Simulação nível de ciclo
Baixar novamente os fontes da aula - fontes cleo 2
Extrair o arquivo. Reparar a existência de um diretório com o simulador do processador Cleópatra.
Analisar o nova aplicação conta2.asm
Abrir o simulador Cleosim.exe e carregar o conta2.asm
Executar a montagem, clicando sobre o círculo 1 em destaque na figura acima. Se o programa está corretamente escrito aparecerá no canto inferior esquerdo a mensagem “sucesso”.
Depois do programa corretamente montado, pode-se simulá-lo, clicando-se sobre o círculo 2 da figura acima, causando a abertura da janela do simulador, ao lado.
Pode-se observar o estado da memória, dos registradores, e executar passo-a-passo.
No anexo a este documento encontra-se um resumo do processador.
Geração do arquivo em código objeto. Selecionar o formato listagem VHDL (com abaixo) e realize novamente a montagem.
No diretório de trabalho é criado o arquivo “conta2.txt”, contendo:
00 44 ; LDA #42H
01 42 ;
02 50 ; ADD #1
03 01 ;
04 24 ; STA #42H
05 42 ;
06 94 ; JC #1BH
07 1B ;
.....
Este arquivo indica na primeira coluna o endereço, na segunda coluna o código da instrução, e nas colunas subseqüentes, após o ponto e virgula, um comentário com a instrução.
2. Simulação usando o ModelSim
1) O primeiro passo é trabalhoso (manual), que consiste em copiar a segunda coluna do conta2.txt para o obj_code.vhd.
obj_code.vhd original obj_code.vhd MODIFICADO
---------------------------------------------------------Package com o código objeto
---------------------------------------------------------
library IEEE; use IEEE.Std_Logic_1164.all;
package object_code is type ram is array (0 to 255) of std_logic_vector(7 downto 0);
signal memoria : ram := ( x"44", x"5D", x"54", x"5F",