Projeto fpga
Mon Dec 13 09:40:16 2010
architecture a of VERIFICA_SENHA is signal clk10: std_logic; signal clk500: std_logic; signal d: std_logic:='0'; signal t: std_logic; signal i: std_logic; signal c: std_logic; signal valor: integer range 0 to 3; signal m: std_logic_vector(1 downto 0); signal b0: std_logic:='0'; signal b1: std_logic:='0' ; signal b2: std_logic:='0' ; signal b3: std_logic:='0' ; signal b0aux: std_logic:='0'; signal b1aux: std_logic:='0' ; signal b2aux: std_logic:='0' ; signal b3aux: std_logic:='0' ; signal cont10khz: integer range 0 to 4999; signal cont500hz: integer range 0 to 19; signal senha: integer range 0 to 3; signal contador: integer range 0 to 50000; signal tempo: integer range 0 to 40000; signal e: std_logic; type statet is(s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13,s14,s15,s16,s17,s18, s19,s20,s21,s22,s23); signal estado: statet:=s0; signal selecao: integer range 0 to 3; signal debounce0: integer range 0 to 9999; signal debounce1: integer range 0 to 9999; signal debounce2: integer range 0 to 9999; signal debounce3: integer range 0 to 9999;
begin --cria um clk de 10khz process(clk50) begin if rising_edge(clk50) then